半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣

2024-05-16 07:53

1. 半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣

   台积电开启晶圆代工时代,成为集成电路中最为重要的一个环节。   1987 年,台积电的成立开启了 晶圆代工时代,尤其在得到了英特尔的认证以后,晶圆代工被更多的半导体厂商所接受。晶圆代工 打破了 IDM 单一模式,成就了晶圆代工+IC 设计模式。目前,半导体行业垂直分工成为了主流, 新进入者大多数拥抱 fabless 模式,部分 IDM 厂商也在逐渐走向 fabless 或者 fablite 模式。 
        全球晶圆代工市场一直呈现快速增长,未来有望持续   。晶圆代工+IC 设计成为行业趋势以后,受益 互联网、移动互联网时代产品的强劲需求,整个行业一直保持快速增长,以台积电为例,其营业收 入从 1991 年的 1.7 亿美元增长到 2019 年的 346 亿美元,1991-2019 年,CAGR 为 21%。2019 年全球晶圆代工市场达到了 627 亿美元,占全球半导体市场约 15%。未来进入物联网时代,在 5G、 人工智能、大数据强劲需求下,晶圆代工行业有望保持持续快速增长。 
        
        晶圆代工行业现状:行业呈现寡头集中。   晶圆代工是制造业的颠覆,呈现资金壁垒高、技术难度大、 技术迭代快等特点,也因此导致了行业呈现寡头集中,其中台积电是晶圆代工行业绝对的领导者, 营收占比超过 50%,CR5 约为 90%。 
     晶圆代工行业资金壁垒高。   晶圆代工厂的资本性支出巨大,并且随着制程的提升,代工厂的资本支 出中枢不断提升。台积电资本支出从 11 年的 443 亿元增长到 19 年的 1094 亿元,CAGR 为 12%。 中芯国际资本性支出从 11 年的 30 亿元增长到了 19 年的 131 亿元,CAGR 为 20%,并且随着 14 nm 及 N+1 制程的推进,公司将显著增加 2020 年资本性支出,计划为 455 亿元。巨额投资将众多 追赶者挡在门外,新进入者难度极大。 
        随着制程提升,晶圆代工难度显著提升。   随着代工制程的提升,晶体管工艺、光刻、沉积、刻蚀、 检测、封装等技术需要全面创新,以此来支撑芯片性能天花板获得突破。 
     晶体管工艺持续创新。   传统的晶体管工艺为 bulk Si,也称为体硅平面结构(Planar FET)。 随着 MOS 管的尺寸不断的变小,即沟道的不断变小,会出现各种问题,如栅极漏电、泄漏功 率大等诸多问题,原先的结构开始力不从心,因此改进型的 SOI MOS 出现,与传统 MOS 结 构主要区别在于:SOI 器件具有掩埋氧化层,通常为 SiO2,其将基体与衬底隔离。由于氧化 层的存在,消除了远离栅极的泄漏路径,这可以降低功耗。随着制程持续提升,常规的二氧 化硅氧化层厚度变得极薄,例如在 65nm 工艺的晶体管中的二氧化硅层已经缩小仅有 5 个氧 原子的厚度了。二氧化硅层很难再进一步缩小了,否则产生的漏电流会让晶体管无法正常工 作。因此在 28nm 工艺中,高介电常数(K)的介电材料被引入代替了二氧化硅氧化层(又称 HKMG 技术)。随着设备尺寸的缩小,在较低的技术节点,例如 22nm 的,短沟道效应开始 变得更明显,降低了器件的性能。为了克服这个问题,FinFET 就此横空出世。FinFET 结构 结构提供了改进的电气控制的通道传导,能降低漏电流并克服一些短沟道效应。目前先进制 程都是采用 FinFET 结构。 
     制程提升,需要更精细的芯片,光刻机性能持续提升。   负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一,光刻机的精度决定了制程的精度。第四 代深紫外光刻机分为步进扫描投影光刻机和浸没式步进扫描投影光刻机,其中前者能实现最 小 130-65nm 工艺节点芯片的生产,后者能实现最小 45-22nm 工艺节点芯片的生产。通过多 次曝光刻蚀,浸没式步进扫描投影光刻机能实现 22/16/14/10nm 芯片制作。到了 7/5nm 工艺, DUV 光刻机已经较难实现生产,需要更为先进的 EUV 光刻机。EUV 生产难度极大,零部件 高达 10 万多个,全球仅 ASML 一家具备生产能力。目前 EUV 光刻机产量有限而且价格昂 贵,2019 年全年,ASML EUV 销量仅为 26 台,单台 EUV 售价高达 1.2 亿美元。 
     晶圆代工技术迭代快,利于头部代工厂。   芯片制程进入 90nm 节点以后,技术迭代变快,新的制程 几乎每两到三年就会出现。先进制程不但需要持续的研发投入,也需要持续的巨额资本性支出,而 且新投入的设备折旧很快,以台积电为例,新设备折旧年限为 5 年,5 年以后设备折旧完成,生产 成本会大幅度下降,头部厂商完成折旧以后会迅速降低代工价格,后进入者难以盈利。 
     2.1摩尔定律延续,技术难度与资本投入显著提升  
     追寻摩尔定律能让消费者享受更便宜的    算    力,晶圆代工是推动摩尔定律最重要的环节。   1965 年, 英特尔(Intel)创始人之一戈登·摩尔提出,当价格不变时,集成电路上可容纳的元器件的数目, 约每隔 18-24 个月便会增加一倍,性能也将提升一倍,这也是全球电子产品整体性能不断进化的核 心驱动力,以上定律就是著名的摩尔定律。换而言之,每一美元所能买到的电脑性能,将每隔 18- 24 个月翻一倍以上。推动摩尔定律的核心内容是发展更先进的制程,而晶圆代工是其中最重要的 环节。 
        摩尔定律仍在延续。   市场上一直有关于摩尔定律失效的顾虑,但是随着 45nm、28nm、10nm 持续 的推出,摩尔定律仍然保持着延续。台积电在 2018 年推出 7nm 先进工艺,2020 年开始量产 5nm, 并持续推进 3nm 的研究,预计 2022 年量产 3nm 工艺。IMEC 更是规划到了 1nm 的节点。此外, 美国国防高级研究计划局进一步提出了先进封装、存算一体、软件定义硬件处理器三个未来发展研 究与发展方向,以此来超越摩尔定律。在现在的时间点上来看,摩尔定律仍然在维持,但进一步提 升推动摩尔定律难度会显著提升。 
     先进制程资本性投入进一步飙升   。根据 IBS 的统计,先进制程资本性支出会显著提升。以 5nm 节 点为例,其投资成本高达数百亿美金,是 14nm 的两倍,是 28nm 的四倍。为了建设 5nm 产线, 2020 年,台积电计划全年资本性将达到 150-160 亿美元。先进制程不仅需要巨额的建设成本,而 且也提高了设计企业的门槛,根据 IBS 的预测,3nm 设计成本将会高达 5-15 亿美元。 
        3nm 及以下制程需要采用全新的晶体管工艺。   FinFET 已经历 16nm/14nm 和 10nm/7nm 两个工艺 世代,随着深宽比不断拉高,FinFET 逼近物理极限,为了制造出密度更高的芯片,环绕式栅极晶 体管(GAAFET,Gate-All-Ground FET)成为新的技术选择。不同于 FinFET,GAAFET 的沟道被 栅极四面包围,沟道电流比三面包裹的 FinFET 更加顺畅,能进一步改善对电流的控制,从而优化 栅极长度的微缩。三星、台积电、英特尔均引入 GAA 技术的研究,其中三星已经先一步将 GAA 用 于 3nm 芯片。如果制程到了 2nm 甚至 1nm 时,GAA 结构也许也会失效,需要更为先进的 2   维  、 甚至 3 维立体结构,目前微电子研究中心(Imec)正在开发面向 2nm 的 forksheet FET 结构。 
     3nm 及以下制程,光刻机也需要升级。   面向 3nm 及更先进的工艺,芯片制造商或将需要一种称为 高数值孔径 EUV(high-NA EUV)的光刻新技术。根据 ASML 年报,公司正在研发的下一代极紫 外光刻机将采用 high-NA 技术,有更高的数值孔径、分辨率和覆盖能力,较当前的 EUV 光刻机将 提高 70%。ASML 预测高数值孔径 EUV 将在 2022 年以后量产。 
       除上面提到巨额资本与技术难题以外,先进制程对沉积与刻蚀、检测、封装等环节也均有更高的要 求。正是因为面临巨大的资本和技术挑战,目前全球仅有台积电、三星、intel 在进一步追求摩尔定 律,中芯国际在持续追赶,而像联电、格罗方德等晶圆代工厂商已经放弃了 10nm 及以下制程工艺 的研发,全面转向特色工艺的研究与开发。先进制程的进一步推荐节奏将会放缓,为中芯国际追赶 创造了机会。 
        2.2先进制程占比持续提升,成熟工艺市场不断增长  
     高性能芯片需求旺盛,先进制程占比有望持续提升。   移动终端产品、高性能计算、 汽车 电子和通信 及物联网应用对算力的要求不断提升,要求更为先进的芯片,同时随着数据处理量的增加,存储芯 片的制程也在不断升级,先进制程的芯片占比有望持续提升。根据 ASML2018 年底的预测,到 2025 年,12 寸晶圆的先进制程占比有望达到 2/3。2019 年中,台积电 16nm 以上和以下制程分别占比 50%,根据公司预计,到 2020 年,16nm 及以下制程有望达到 55%。 
       CPU、逻辑 IC、存储器等一般采用先进制程(12 英寸),而功率分立器件、MEMS、模拟、CIS、 射频、电源芯片等产品(从 6μm 到 40nm 不等)则更多的采用成熟工艺(8 寸片)。 汽车 、移动 终端及可穿戴设备中超过 70%的芯片是在不大于 8 英寸的晶圆上制作完成。相比 12 寸晶圆产线,8 寸晶圆制造厂具备达到成本效益生产量要求较低的优势,因此 8 寸晶圆和 12 寸晶圆能够实现优 势互补、长期共存。 
     受益于物联网、 汽车 电子的快速发展,MCU、电源管理 IC、MOSFET、ToF、传感器 IC、射频芯 片等需求持续快速增长。    社会 已经从移动互联网时代进入了物联网时代,移动互联网时代联网设备 主要是以手机为主,联网设备数量级在 40 亿左右,物联网时代,设备联网数量将会成倍增加,高 通预计到 2020   年联网  设备数量有望达到 250 亿以上。飙升的物联网设备需要需要大量的成熟工艺 制程的芯片。以电源管理芯片为例,根据台积电年报数据,公司高压及电源管理晶片出货量从 2014 年的 1800 万片(8 寸)增长到 2019 年的 2900 万片,CAGR 为 10%。根据 IHS 的预测,成熟晶 圆代工市场规模有望从 2020 年的 372 亿美元增长到 2025 年的 415 亿美元。 
        特色工艺前景依旧广阔,主要代工厂积极布局特色工艺。   巨大的物联网市场前景,吸引了众多 IC 设计公司开发新产品。晶圆代工企业也瞄准了物联网的巨大商机,频频推出新技术,配合设计公司 更快、更好地推出新一代芯片,助力物联网产业高速发展。台积电和三星不仅在先进工艺方面领先布局,在特色工艺方面也深入布局,例如台积电在图像传感器领域、三星在存储芯片领域都深入布 局。联电、格罗方德、中芯国际、华虹半导体等代工厂也全面布局各自的特色工艺,在射频、 汽车  电子、IOT 等领域,形成了各自的特色。 
     5G 时代终端应用数据量爆炸式提升增加了对半导体芯片的需求,晶圆代工赛道持续繁荣。   随着对 于 5G 通信网络的建设不断推进,不仅带动数据量的爆炸式提升,要求芯片对数据的采集、处理、 存  储  效率更高,而且也催生了诸多 4G 时代难以实现的终端应用,如物联网、车联网等,增加了终 端对芯片的需求范围。对于芯片需求的增长将使得下游的晶圆代工赛道收益,未来市场前景极其广 阔。根据 IHS 预测,晶圆代工市场规模有望从 2020 年的 584 亿美元,增长到 2025 年的 857 亿美 元,CAGR 为 8%。 
        3.15G 推动手机芯片需求量上涨  
    5G 手机渗透率快速提升。手机已经进入存量时代,主要以换机为主。2019 年全球智能手机出货量 为 13.7 亿部,2020 年受疫情影响,IDC 等预测手机总体出货量为 12.5 亿台,后续随着疫情的恢 复以及 5G 产业链的成熟,5G 手机有望快速渗透并带动整个手机出货。根据 IDC 等机构预测,5G 手机出货量有望从 2020 年的 1.83 增长到 2024 年的 11.63 亿台,CAGR 为 59%。 
        5G 手机 SOC、存储和图像传感器全面升级,晶圆代工行业充分受益。   消费者对手机的要求越来越 高,需要更清晰的拍照功能、更好的 游戏 体验、多任务处理等等,因此手机 SOC 性能、存储性能、 图像传感器性能全面提升。目前旗舰机的芯片都已经达到了 7nm 制程,随着台积电下半年 5 nm 产 能的释放,手机 SOC 有望进入 5nm 时代。照片精度的提高,王者荣耀、吃鸡等大型手游和 VLOG 视频等内容的盛行,对手机闪存容量和速度也提出了更高的要求,LPDDR5 在 2020 年初已经正式 亮相小米 10 系列和三星 S20 系列,相较于上一代的 LPDDR4,新的 LPDDR5 标准将其 I/O 速 度从 3200MT/s 提升到 6400MT/s,理论上每秒可以传输 51.2GB 的数据。相机创新是消费者更 换新机的主要动力之一,近些年来相机创新一直在快速迭代,一方面,多摄弥补了单一相机功能不 足的缺点,另一方面,主摄像素提升带给消费者更多的高清瞬间,这两个方向的创新对晶圆及代工 的需求都显著提升。5G 时代,手机芯片晶圆代工市场将会迎来量价齐升。 
       5G 手机信号频段增加,射频前端芯片市场有望持续快速增长。射频前端担任信号的收发工作,包 括低噪放大器、功率放大器、滤波器、双工器、开关等。相较于 4G 频段,5G 的频段增加了中高 频的 Sub-6 频段,以及未来的更高频的毫米波频段。根据 yole 预测,射频前端市场有望从 2018 年 的 149 亿美元,增长到 2023 年的 313 亿美元,CAGR 为 16%。 
        3.2云计算前景广阔,服务器有望迎来快速增长  
     2020 年是国内 5G 大规模落地元年,有望带来更多数据流量需求   。据中国信通院在 2019 年 12 月 份发布的报告,2020 年中国 5G 用户将从去年的 446 万增长到 1 亿人,到 2024 年我国 5G 用户 渗透率将达到 45%,人数将超过 7.7 亿人,全球将达到 12 亿人,5G 用户数的高增长带来流量的 更高增长。 
        5G 时代来临,云计算产业前景广阔。   进入 5G 时代,IoT 设备数量将快速增加,同时应用的在线 使用需求和访问流量将快速爆发,这将进一步推动云计算产业规模的增长。根据前瞻产业研究院的 报告,2018 年中国云计算产业规模达到了 963 亿元,到 2024 年有望增长到 4445 亿元,CAGR 为 29%,产业前景广阔。 
        边缘计算是云计算的重要补充,迎来新一轮发展高潮。   根据赛迪顾问的数据,2018 年全球边缘计 算市场规模达到 51.4 亿美元,同比增长率 57.7%,预计未来年均复合增长率将超过 50%。而中国 边缘计算市场规模在 2018 年达到了 77.4 亿元,并且 2018-2021 将保持 61%的年复合增长率,到 2021 年达到 325.3 亿元。 
     服务器大成长周期确定性强。   服务器短期拐点已现,受益在线办公和在线教育需求旺盛,2020 年 服务器需求有望维持快速增长。长期来看,受益于 5G、云计算、边缘计算强劲需求,服务器销量 有望保持持续高增长。根据 IDC 预测,2024 年全球服务器销量有望达到 1938 万台,19-24 年, CAGR 为 13%。 
        服务器半导体需求持续有望迎来快速增长,晶圆代工充分受益。   随着服务器数量和性能的提升,服 务器逻辑芯片、存储芯片对晶圆的需求有望快速增长,根据 Sumco 的预测,服务器对 12 寸晶圆 需求有望从 2019 年的 80 万片/月,增长到 2024 年的 158 万片/月,19-24 年 CAGR 为 8%。晶圆 代工市场有望充分受益服务器芯片量价齐升。 
        3.3三大趋势推动 汽车 半导体价值量提升  
     传统内燃机主要价值量主要集中在其动力系统。   而随着人们对于 汽车 出行便捷性、信息化的要求逐 渐提高, 汽车 逐步走向电动化、智能化、网联化,这将促使微处理器、存储器、功率器件、传感器、 车载摄像头、雷达等更为广泛的用于 汽车 发动机控制、底盘控制、电池控制、车身控制、导航及车 载 娱乐 系统中, 汽车 半导体产品的用量显著增加。 
     车用半导体有望迎来加速增长。   根据 IHS 的报告,车用半导体销售额 2019 年为 410 亿美元,13- 19 年 CAGR 为 8%。随着 汽车 加速电动化、智能化、网联化,车用芯片市场规模有望迎来加速, 根据 Gartner 的数据,全球 汽车 半导体市场 2019 年销售规模达 410.13 亿美元,预计 2022 年有望 达到 651 亿美元,占全球半导体市场规模的比例有望达到 12%,并成为半导体下游应用领域中增 速最快的部分。 
        自动驾驶芯片要求高,有望进一步拉动先进制程需求。   自动驾驶是通过雷达、摄像头等将采集车辆 周边的信息,然后通过自动驾驶芯片处理数据并给出反馈,以此降低交通事故的发生率、提高城市 中的运载效率并降低驾驶员的驾驶强度。自动驾驶要求多传感器之间能够及时、高效地传递信息, 并同时完成路线规划和决策,因此需要完成大量的数据运算和处理工作。随着自动驾驶级别的上升, 对于芯片算力的要求也越高,产生的半导体需求和价值量也随之水涨船高。英伟达自动驾驶芯片随 着自动驾驶级别的提升,芯片制程也显著提升,最早 Drive PX 采用的是 20nm 工艺,而最新 2019 年发布的 Drive AGX Orin 将会采用三星 8nm 工艺。根据英飞凌的预测,自动驾驶给 汽车 所需要的 半导体价值带来相当可观的增量,一辆车如果实现 Level2 自动驾驶,半导体价值增量就将达到 160 美元,若自动驾驶级别达到 level4&5,增量将会达到 970 美元。 
        3.4IoT 快速增长,芯片类型多  
    随着行业标准完善、技术不断进步、政策的扶持,全球物联网市场有望迎来爆发性增长。GSMA 预 测,中国 IOT 设备联网数将会从 2019 年的 36 亿台,  增到  到 2025 年的 80 亿台,19-25 年 CAGR 为 17.3%。根据全球第二大市场研究机构 MarketsandMarkets 的报告,2018 年全球 IoT 市场规模 为 795 亿美元,预计到 2023 年将增长到 2196 亿美元,18-23 年 CAGR 为 22.5%。 
        物联网的发展需要大量芯片支撑,半导体市场规模有望迎来进一步增长   。物联网感知层的核心部件 是传感器系统,产品需要从现实世界中采集图像、温度、声音等多种信息,以实现对于所处场景的 智能分析。感知需要向设备中植入大量的 MEMS 芯片,例如麦克风、陀螺仪、加速度计等;设备 互通互联需要大量的通信芯片,包括蓝牙、WIFI、蜂窝网等;物联网时代终端数量和数据传输通道 数量大幅增加,安全性成为最重要的需求之一,为了避免产品受到恶意攻击,需要各种类型的安全 芯片作支持;同时,身份识别能够保障信息不被盗用,催生了对于虹膜识别和指纹识别芯片的需求; 作为物联网终端的总控制点,MCU 芯片更是至关重要,根据 IC Insights 的预测,2018 年 MCU 市 场规模增长 11%,预计未来四年内 CAGR 达 7.2%,到 2022 年将超过 240 亿美元。 
        4.1 国内 IC 设计企业快速增长,代工需求进一步放量  
     国内集成电路需求旺盛,有望持续维持快速增长。   国内集成电路市场需求旺盛,从 2013 年的 820 亿美元快速增长到 2018 年的 1550 亿美元,CAGR 为 13.6%,IC insight 预测,到 2023 年,中国 集成电路市场需求有望达到 2290 亿美元,CAGR 为 8%。但是同时,国内集成电路自给率也严重 不足,2018 年仅为 15%,IC insight 在 2019 年预测,到 2023 年,国内集成电路自给率为 20%。 
        需求驱动,国内 IC 设计快速成长。   在市场巨大的需求驱动下,国内 IC 设计企业数量快速增加,尤 其近几年,在国内政策的鼓励下,以及中美贸易摩擦大的背景下,IC 设计企业数量加速增加,2019 年底,国内 IC 设计企业数量已经达到了 1780 家,2010-2019 年,CAGR 为 13%。根据中芯国际 的数据,国内 IC 设计公司营收 2020 年有望达到 480 亿美元,2011-2020 年 CAGR 为 24%,远 高于同期国际 4%的复合增长率。 
        国内已逐步形成头部 IC 设计企业。   根据中国半导体行业协会的统计,2019 年营收前十的入围门槛 从 30 亿元大幅上升到 48 亿元,这十大企业的增速也同样十分惊人,达到 47%。国内 IC 企业逐步 做大做强,部分领域已经形成了一些头部企业:手机 SoC 芯片领域有华为海思、中兴微电子深度 布局;图像传感领域韦尔豪威大放异彩;汇顶 科技 于 2019 年引爆了光学屏下指纹市场;卓胜微、 澜起 科技 分别在射频开关和内存接口领域取得全球领先。IC 设计企业快速成长有望保持对晶圆代 工的强劲需求。 
        晶圆代工自给率不足。   中国是全球最大的半导体需求市场,根据中芯国际的预测,2020 年中国对 半导体产品的需求为 2130 亿美元,占全球总市场份额为 49%,但是与之相比的是晶圆代工市场份 额严重不足,根据拓墣研究的数据,2020Q2,中芯国际和华虹半导体份额加起来才 6%,晶圆代 工自给率严重不足,尤其考虑到中国 IC 设计企业数量快速增长,未来的需求有望持续增长,而且, 美国对华为等企业的禁令,更是让我们意识到了提升本土晶圆代工技术和产能的重要性。 
        4.2政策与融资支持,中国晶圆代工企业迎来良机(略)  
    晶圆代工需求不断增长,但国内自给严重不足,受益需求与国内政策双重驱动,国内晶圆代工迎来 良机。建议关注:国内晶圆代工龙头,突破先进制程瓶颈的中芯国际-U、特色化晶 圆代工与功率半导体 IDM 双翼发展的华润微华润微、坚持特色工艺,盈利能力强的华虹半导体华虹半导体。 
    …… 
    (报告观点属于原作者,仅供参考。作者:东方证券,蒯剑、马天翼) 
    如需完整报告请登录【未来智库】www.vzkoo.com。 

半导体产业深度报告:制造业巅峰,晶圆代工赛道持续繁荣

2. 两大本土晶圆厂宣布14nm,国内代工跨进新阶段

 日前,国内最大的晶圆代工厂中芯国际官网转载了《浦东时报》的一篇文章,在文章的开头写到:“位于浦东张江哈雷路上的中芯南方集成电路制造有限公司(中芯南方厂)内,一颗颗芯片正“新鲜出炉”,“新”在于芯片生产线是国内首条14纳米生产线。该工厂也是目前中国大陆芯片制造领域的最强者中芯国际最先进的生产基地。”
   文章进一步指出:“在去年三季度,该工厂第一代14纳米FinFET工艺已成功量产。按规划达产后,中芯南方厂将建成两条月产能均为3.5万片的集成电路先进生产线。12纳米技术也已开始客户导入,下一代技术的研发也稳步开展。新生产线将助力未来5G、物联网、车用电子等新兴应用的发展。”
   无独有偶,国内另一家在晶圆代工方面有深入研究的华虹集团也在近日举办的供应商大会上披露,公司在14nm上取得了重大进展,而更先进技术节点的先导工艺研发也正在加快部署。
   这两家国内领先晶圆厂的宣布,标志着我国晶圆代工产业又迈进了一个新阶段。
    筚路蓝缕:二十五年追逐的结果 
   如果从909工程立项开始算起,目前中国大陆的两大晶圆厂已经对业界领先的厂商有了二十五年的追逐。而翻看1996年的台积电,他们当时1um以下工艺的营收占比已经达到了9.3%,而到中芯国际成立的2000年,台积电营收已经做到了1662亿新台币,净利润也做到了651亿新台币,同比增长也分别高达127.3%和165.1%。
       台积电在1996年到2000年的营收排行 
   从以上的数据可以看到,即使国家投入了大量的人力物力,甚至从 台湾 和国外招揽了不少专家,但中国芯片制造产业与当时的世界领先水平有着不小的差距。但后来的华虹集团(先进工艺主要是由旗下的华力微电子推动)和中芯国际却都在这个追逐中快速成长,和领头羊的差距也从曾经的遥遥无期,到现在可以看到领头羊的尾灯。而这都是国内芯片制造人才多年钻研的结果。
   以中芯国际为例,从2010年4月成立,当年八月开始动工,到次年九月,中芯国际已经在上海建了三座八英寸晶圆厂,这在当时创造了全球最快的建厂记录。而在2002年九月,中芯国际北京两座12英寸工厂动工;2003年,中芯国际又收购了摩托罗拉在天津设立的八英寸芯片厂。
   虽然在建厂方面,中芯国际走得比较快,但在工艺方面,则相对慢半拍,这有一部分原因与当时一些 众所周知 的原因有关。
   相关资料显示,在中芯国际的第一个工厂还在建设的时候,该公司创始人张汝京就希望从美国进口0.18微米工艺的生产设备。即使这不是美国最先进的工艺(当时0.13微米的工艺已经量产),但张汝京还是大费周章,才能把这些工艺引进来。这种情况一直延续到0.13微米、90纳米和65纳米的工艺上。因为过去一直遵守承诺,中芯国际到45纳米的时候赢得了合作伙伴和美国 政府 的认可。
   但到了28nm之后,中芯国际又在这里被“困”了。
   据了解,中芯国际提供了包含传统的多晶硅(PolySiON)和后闸极(Gate last)的高介电常数金属闸极(HKMG)与High-KC制程。按照他们的说法,这是他们在 2013 年第四季度推出的技术。但其实在很长一段时间以内,中芯国际在28nm只是提供多晶硅的制程。虽然公司表示在2017年2季度就开始推出28nm HKMG制程,但从官网在2018年1月的报道我们可以看到,直到当时,中芯国际的28nm HKMG良率只做到40%,这离能被大家接受的大规模量产还有一段距离。
   而反观台积电,因为一贯以来有着“在制程上做到绝对领先”的理念,他们在2011年就开始了28nm工艺投产,并在接下来的几年实现了迅速爬坡。财报显示,在中芯国际推出28nm HKMG的那一季度,台积电28nm已经贡献了公司27%的营收。值得注意的是,台积电的10nm在这个季度已经为公司带来了1%的营收,到了次季度,这个比例上升到10%,到2018年Q1更是飙升到19%。
       台积电2017年Q2的营收分布 
   至于14nm,中芯国际联席CEO梁孟松曾在2019年Q2的财报 会议 上表示,“中芯国际第一代FinFET 14nm工艺已经进入客户验证阶段,产品可靠度与良率进一步提升”。
   再看华力微电子,从该公司研发副总裁邵华先生在2019年的SEMICON China上的介绍得知,他们自2010年1月建厂以来,到2019年已经投入了80亿美元进行研发,公司也有张江和康桥两个厂。特别是康桥厂二期,更是承担了华力微28nm到14nm等先进工艺的生产任务。按照邵华当时的说法,华力微已经可以提供28nmLP工艺,而到2019年年底则会量产HKC/HKC+,同时也在开发22nm ULP和14nm FinFET等。
   而华虹供应商大会上的消息也显示,他们28nm工艺也都全线量产(包括28nm LP、28nm HK和28nm HKC+)、22nm研发快速推进,14nm则如开头所说,获得了重大进展。
     打下了基础,能让他们更踏实地继续往前迈进。
    内忧外患:进一步提高的必要性 
   诚然,无论是中芯国际还是华力微电子,他们未来在工艺上每前进一步都是很艰难的。因为随着制程的微缩,带来的技术难度是指数级增长的,同时要投入的成本也是巨大的。但综合考虑内部和外部的情况,发展先进共有又是必然的。
   首先看一下外部情况,在过去的2019年,美国 政府 针对包括华为在内的多家中国企业所做的种种行为,已经打破了技术无国界这个说法。包括日经在线在内的多家外媒也都曾传言美国将会推动阻碍国际领先晶圆厂给华为等中国厂商服务。虽然这种说法遭到了当事方的否认,但无可否认,这也许会成为美国政客手中的一枚“棋子”。
   还有一点就是,现在多家国际知名媒体也言之凿凿地说,美国 政府 将限制相关厂商给国内晶圆厂供货,这就倒逼国内设备行业的发展。但在国外厂商遥遥领先的前提下,一些新的设备如果想找大陆以外如台积电这样的先进晶圆厂配合,这是一个极高难度的事情。但为了让设备往前走,如果要有先进工艺一起配合推进,也许能获得更好的效果。这个能最终执行好,就必然能达到双赢。
   来到内部,一方面,正如最近的新闻所说,以华为为代表的一些国内厂商因为忌惮美国的“禁令”,已经开始陆续向以中芯国际和华虹等国内厂商寻求帮助。以华为为例,除了相对较落后的工艺外,他们对14nm、7nm和5nm等先进工艺有更多的需求。再 加上 大数据、AI和5G等应用的兴起,要求更多更高性能的芯片,国内也有很多厂商正在朝着这个目标前进。对他们来说,如果国内有信得过的制造工艺合作伙伴,他们必然会将其列为合作首选。但这也同样需要时间。
   第三,三星和台积电这些领先厂商已经又往前走了一大步,国内厂商要想获得与他们同台竞技的机会,就更需要加快步伐。
   最新消息显示,台积电的5nm工艺已经达到了50%的良率,公司也计划在Q2推动这个工艺的量产。三星方面则在GAAFET上取得了突破,并计划在未来十年投入上千亿美元去与台积电争夺晶圆代工龙头的位置。这些领导厂商在先进工艺制程、EUV光刻机、未来先进材料方面也有研究,也是他们的核心竞争力所在,也值得国内厂商所学习的。
   但对于这两家本土厂商来说,未来在工艺发展路线上,是每个节点都去研发,或者根据需要跳过某些节点,而跃进到某个新阶段,这也是一个需要思考的问题,让我们期待他们下一个十年。

3. 中芯国际在芯片行业处于什么地位?

目前中芯国际的芯片在行业上还是处于比较落后的位置,由于中芯国际无法买到先进的制造设备,由此导致没有先进的半导体制造,所以在一些程度上根本无法跟上世界上最先进的制程。

发展的关键设备无法引进,导致步伐受阻
中芯国际是中国芯片的代表,中芯国际也自称是大陆技术最完备的集成电路制造企业,但实际上回顾中芯国际的发展,从成立的那一年开始,至今其实表现都不是那么的亮眼,而且净利润额都是亏损的,还和台积电一直有着专利侵权方面的纠纷。
最先进的制成利润最大,同样的也就有足够的回报可以让企业投入更先进的制程研发,这就是中芯国际落后的根本原因。而另一个原因就是台积电三星产量,同样的制程时间,可是却比中芯国际要早研发几年,所以导致同样的制程,三星台积电的性能更稳定,而且也比中芯国际关系更成熟,由此顾客们就更愿意用台积电的28nm,哪怕中芯国际的报价更低,可却也无法吸引来客户。

瓦森纳协议使发展事倍功半,难以有所建树
我们中国的中芯国际制造之所以会落后那么多,实际上也归根于一个协议,名字叫瓦森纳协议,在这个协议里面的成员国不允许卖某些东西给中国,而这些不能卖的东西里面就有一个是芯片代工行业的关键设备。有着这个协议的限制,导致大陆的芯片制造始终都难以追赶上先进的步伐,我们一直都是事倍功半努力着,可是在芯片制造的过程中,受阻的部分仍然非常严重。
中芯国际是中国芯片的代表,也自称是大陆技术最完备的集成电路制造企业,但实际上回顾中芯国际的发展,从成立的那一年开始,至今其实表现都不是那么的亮眼,而且净利润额都是亏损的,还和台积电一直有着专利侵权方面的纠纷。

吸收新人才,未来可期
站在客观的角度上来看这个问题,实际上从同等级别的工艺上来虽然国产的厂商能做,但是这并不代表着能做得更好。因为人才上的弱势中心国际仍然和台积电也有一定的差距,人才之所以会储备不足,终究还是因为大陆在集成电路这条产业上的起步比较晚,我们大量的依赖外部人才,本土化的人才并不多,但好在这几年中芯国际也正在加大力度,引入新鲜的血液。瓦森纳协议限制的不仅仅是产品的制作工艺技术,而且由此还影响了中心国际的产品质量和工作量。根本上导致了中心国际的工程师离职率偏高。但是如今中国渐渐的发展起来之后,现代社会里中心国际实际上的待遇和名声在行业里还是算比较不错的,也非常有前景,可以吸引来不少的年轻人才,未来中芯国际的发展还是值得期待的。

中芯国际在芯片行业处于什么地位?

4. 芯片产能即将过剩,中芯国际却515亿扩产28nm,原因是什么?

芯片产能即将过剩,中芯国际却515亿扩产28nm,原因是什么?芯片未来就是智能化社会的水泥砂子,长期来看是很难过剩的。
中芯国际作为大陆最大的芯片代工企业,为了未来发展,斥巨资515亿元又在扩产28纳米生产线,当然是为了扩大产能,从而未来就可以接到更多的芯片生产单子,这样生产量更大,成本可能更低,这样就可能更好的满足需求,从而赚到更多的钱了。

现在来看,虽然芯片产业短期可能过剩,但是长期来看,芯片的用途越来越广泛,而未来社会对于芯片的需求会越来越大。比如现在电视机中含有芯片,可以实现电视机的智能化,路由器里面也有芯片,可以让路由器速度更快更智能,汽车里面的芯片就更多了,而手机,电脑里面的芯片就不用多说了。
而未来社会发展的目标就是智能社会,那么未来生活中的方方面面都可能用到芯片,芯片的用途必将会更广泛,会让民众生活更好,这样一来,芯片的需求量将会更大,那么芯片可能就跟现在的砂子水泥一样,长期来看,基本上就很难过剩了。

而28纳米生产线,是属于成熟工艺生产线,生产出来的芯片成本不高,大概占到了芯片总需求的65%以上,现在来说,也是需求量最大,用途最广泛的芯片了。而中芯国际扩产28纳米芯片,目的也是为了满足大多数的芯片需求,而且现在28纳米芯片生产线设备国产基本上也都能做,这样就可能尽快形成产能,从而可能会更好的满足国内对于芯片的需求。
实际上,不仅中芯国际投资515亿元扩产28纳米产线,而台积电南京工厂也在投巨资扩产28纳米产线,这个意味着这两个巨头都看到了未来28纳米芯片生产线需求可能会非常旺盛,这样才会去投入巨资扩张28纳米生产线的。

综上所述,芯片未来就是智能化社会的砂子和水泥,长期来看是很难过剩的。而中芯国际投入巨资扩产28纳米,显然是为了跟上增长迅速的芯片生产需求,也是为了未来获得更高的利润。

5. 厉害的不止中芯,该中企手握1.3万件专利,成大陆第2芯片巨头

芯片制造迎来了最好的时代,以前做芯片设计,芯片封装的企业,由于难度小,投入更低,备受行业青睐。只有芯片制造这种又苦又累,耗资大,风险高的领域被很多人忽略。
     
 因为人们只在意高通芯片、海思芯片、苹果芯片,却没有过多在意帮助他们生产芯片的是谁。但现如今,芯片制造成为了主旋律。掌握先进芯片制造水准的企业,无疑成为了香饽饽。
  
 订单络绎不绝,全球缺芯下,更突显出芯片制造企业的重要性。而国内芯片制造的实力,或将助力我国从全球缺芯中突围。要论芯片制造的话,必然离不开中芯国际。
     
 这家成立于2000年的中国大陆公司,定位是芯片代工,晶圆生产,为客户提供成熟工艺产品。是国内唯一一个掌握14nm技术工艺的国产企业,并且攻克了7nm技术,准备今年4月份试产。
  
 从实力和市场份额来看,中芯国际都是能排在世界前列的,去年第四季度实现营收66.71亿元,同比上涨10.3%。能在变局之下保持增长,实属不易。另外在北京、上海、天津等地区,中芯国际都有晶圆厂,具备掌握领先市场份额的条件。
     
 但厉害的不止中芯国际,还有一家中企手握1.3万件专利,是国内第二大芯片巨头。这一巨头就是华虹半导体,华虹半导体和中芯国际并称中国大陆芯片制造双雄,只不过相对于中芯国际来说,华虹半导体会显得更为低调。
     
 但华虹半导体却是全球前十大晶圆制造厂商之一,这家大陆第二大芯片巨头实力不可小觑,在国内建设了一座12英寸晶圆厂,代工产能节节攀升。
  
 据悉,华虹半导体无锡12英寸晶圆厂于2019年9月份正式投片,到去年11月份,投片产能已经突破2万片。是全球第一家12英寸IG BT代工厂。在产能上的迅速提升对我国半导体制造供应链做出巨大贡献。
     
 不仅如此,去年第四季度华虹半导体销售额打破纪录,达到了2亿美元,同比上涨15.4%。凭借晶圆代工,华虹半导体稳站国内芯片制造业的龙头地位。仅次于中芯国际,如果能继续扩产规模,或许将有望成为第一大晶圆厂。
  
 况且手握1.3万件专利,技术和规模都不差。只不过中芯国际也在加紧扩产,上海,北京均有晶圆厂在建设中,而且还花费了12亿美元进口ASML光刻机,要想超越,不太容易。
     
 华虹半导体虽然低调,不显山漏水,但它的目标是很明确的。华虹半导体的目标在于国际市场,不只是国内,更大的方向是冲刺国际市场。
  
 那华虹半导体具备怎样的条件呢?据了解,华虹半导体是全球领先的纯晶圆代工企业,在8英寸和12英寸晶圆制造领域表现优异。拥有3座8英寸晶圆厂,并且产能利用率已经达到了100%。
     
 12英寸晶圆的产能利用率也在不断攀升,作为制造高端芯片的12英寸晶圆,可以为全球缺芯提供更为关键的供给。
  
 位于无锡的12英寸晶圆厂已经建成,往后华虹半导体会不断提升产能,优化工艺。一旦大量出货,必然会跻身全球供应链,完全国际化的目标。
     
 和中芯国际不同的是,华虹半导体倾向于晶圆制造。晶圆属于芯片的前端产品,是必要的材料。而中芯国际不但具备12英寸晶圆制造条件,也能生产14nm制程的芯片。未来定能取得7nm的试产,量产突破。
  
 所以华虹半导体要想在时代的浪潮中崛起,仍需不断前行,努力奋进。
  
 对华虹半导体你有什么看法呢?

厉害的不止中芯,该中企手握1.3万件专利,成大陆第2芯片巨头

6. 中芯国际、台积电等亚洲芯片制造商争相增产,消除芯片危机是否指日可待?

中芯国际台积电等亚洲芯片制造商都在争相增加产量,但是这个就真的能解决芯片危机了吗?个人认为不能差远了,因为。两者或者这些代工的工厂,它本身即使增产也解决不了芯片危机,芯片危机不光出现在手机行业,在汽车制造行业也仍然有,现在还变得越来越严重了。
中芯国际和台积电不是一个档次的。要明白这个道理,中芯国际是一家代工集成电路芯片制造企业,他本身成立也算是比较早,但是要说尖端技术它本身没有太多,因为差不多前半年吧,中芯国际曝光说是采用特殊的技术,可以把芯片的精度提高到七纳米左右,就算是一个很大的进步了,但是能够达到那样的精度,并不意味着可以量产,也不意味着可以控制住成本,距离实际应用还有很大的距离,现在台积电都已经在研究两纳米的芯片了。
台积电在疯狂增加产量,但是增加产量对我们来说没什么用啊,因为之前出了一个正令是限制台积电向我国的这些厂商输送芯片的,尤其是华为这些日子好像是也有限制小米的这个趋势,但是到底会不会这个还得看接下来事态的发展,总之就是台积电现在主要的客户是面向苹果,就算是真的研究出来了两纳米3纳米的芯片,第1批尝鲜的客户也是苹果,跟我们没什么关系。
芯片危机是指芯片供不应求,手机行业出现了这样的问题,这个据说与5G智能手机的不断普及有关系,因为5G手机在电源管理芯片上的数量要翻倍在摄像头的传感器芯片上面也要翻倍,导致手机的需求量大大增加,现在又出现了越来越多的智能化汽车,人机互动才做的越来越好,人机互动做的好当然需要芯片了,因为芯片对于汽车对于手机来说就相当于他们的脑子,没有芯片是做不好的,需求量越来越多,但是供应量却是有限的。
短期内是解决不了芯片的危机问题的,现在新能源与芯片有关的这个科技发展都是一个新兴的风口,就是现在好多投资人都是建议新能源和芯片相关的科技企业是可以投资的,而且现实也证明了这个意见还真没错,近些日子新能源与芯片科技制造等企业的股票都在逐渐的上涨,基金的净值也在逐渐增加,只不过现在在入市可能是稍微有些晚了,这个只是网上有的意见并不代表现实的情况是如何的。
最新文章
热门文章
推荐阅读